CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog cos

搜索资源列表

  1. sinfunction

    0下载:
  2. 用cordic算法实现超越函数,sin,cos用此方法也可以实现其他的sinhx,coshx,ex.代码用verilog编写
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:236798
    • 提供者:yu_leo
  1. RomNCO

    1下载:
  2. 基于NCO的数字控制振荡器。带测试程序,输出12位的COS和SIN波形。-Based on the digital control oscillator NCO. With test procedures, the output 12 of the COS and the SIN waveform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-10-22
    • 文件大小:30074
    • 提供者:咚咚
  1. cos

    0下载:
  2. FPGA实现正弦,余弦的计算,verilog语言-FPGA realization of sine, cosine calculation, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:812
    • 提供者:霍东建
  1. sin.tar

    0下载:
  2. 神奇的sin波生成verilog源码,非常简单的代码无需乘法即可生成sin,cos,值得搞算法的人借鉴-Magic sin wave generated Verilog source code, the code is very simple multiplication can be generated without sin, cos, worthy people from engaging in algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1946
    • 提供者:yangyu
  1. custom_cordic

    0下载:
  2. verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:120544
    • 提供者:yangyu
  1. CordicNCO

    0下载:
  2. 基于CORDIC算法的,数字控制振荡器的设计。带测试程序,输入一个振荡频率,输出SIN和COS的波形!-Based on the CORDIC algorithm, the digital controlled oscillator design. With test procedures, enter a oscillation frequency, the output waveform SIN and COS!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:4056
    • 提供者:咚咚
  1. coredic

    0下载:
  2. 采用Verilog硬件描述语言实现的三角函数sin(),cos()转换的部分代码-Adopt Verilog hardware descr iption language realization trigonometric sin (), cos () conversion part code
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:38558
    • 提供者:sharbel
  1. coredic-verilog

    0下载:
  2. 采用Verilog硬件描述语言实现的三角函数sin(),cos()转换的部分代码-Adopt Verilog hardware descr iption language realization trigonometric sin (), cos () conversion part code
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:38566
    • 提供者:sharbel
  1. cordic

    1下载:
  2. 在QUARTUS环境下,通过Verilog实现cordic,产生sin,cos-In QUARTUS environment, through the Verilog implementation cordic, generate sin, cos
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-11
    • 文件大小:1709056
    • 提供者:洪依
  1. Cordic_Verilog

    1下载:
  2. 基于FPGA平台的,坐标旋转数字计算方法Cordic的Verilog描述。可用于计算sin、cos等三角函数。-Cordic in verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2664
    • 提供者:孙佳宇
  1. sincos

    0下载:
  2. 用verilog实现sin和cos的计算-verilog sin cos
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:799902
    • 提供者:周慧
  1. cordic_verilog

    0下载:
  2. cordic算法 Verilog源码 求sin和cos-cordic Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1115276
    • 提供者:王强
  1. cordic verilog 求sin cos的源程序

    1下载:
  2. cordic. it's about how to calculate sin and cos with the FPGA!
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-20
    • 文件大小:2318
    • 提供者:warming
  1. altera_cordic-Verilog

    0下载:
  2. altera_cordic sin cos altera_cordic sin cos-altera_cordic sin cos
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1210
    • 提供者:dan
  1. serial-cordic-verilog

    0下载:
  2. implementation of cordic algorithm for many aplication like cos, sinus, polar to rectangular conversion and rectangular to polar conversion. It s written in verilog language and testbench is included
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2895
    • 提供者:appolo
  1. sin_cos_module

    0下载:
  2. Verilog实现的cordic算法的计算sin,cos值得模块,使用rom,代码简洁有效。-Verilog implementation of the cordic algorithm of computing the sine and cosine worth module, use of ROM, the code is concise and effective.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:953
    • 提供者:姚盛健
  1. cordic

    0下载:
  2. verilog编写的数字信号发生器NCO用CORDIC方法实现产生sin cos信号,流水线结构,简单实用。-verilog prepared by the digital signal generator NCO using CORDIC method implementation generate sin cos signal, pipelined architecture, simple and practical。
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-12
    • 文件大小:1263
    • 提供者:李斌
搜珍网 www.dssz.com